Physical Design Engineer
Physical Design Engineer
Central Business Solutions Inc.
San Jose, CA
See who Central Business Solutions Inc. has hired for this role
Title: Physical Design Engineer
Location: 100% Remote
Duration: Long Term Contract role
Responsibilities
Checkout our excellent assessment tool: http://www.skillexam.com/
Checkout our job board : http://www.job-360.net/
=====================================================
Central Business Solutions, Inc
37600 Central Court Suite 214 Newark CA, 94560
Phone: (833)247-8800 Fax: (510)-740-3677
Web: http://www.cbsinfosys.com
=====================================================
Location: 100% Remote
Duration: Long Term Contract role
Responsibilities
- Develop and own physical design implementation of multi-hierarchy low-power designs including physical-aware logic synthesis, design for testability, floorplan, place and route, static timing analysis, IR Drop, EM, and physical verification in advanced technology nodes.
- Resolve design and flow issues related to physical design, identify potential solutions, and drive execution
- Deliver physical design of an end-to-end IP or integration of ASIC/SoC design
- Bachelor's degree in Electrical Engineering, with 5 years of relevant physical design experience
- Strong understanding in the RTL2GDSII flow and design tapeouts in 16nm/14nm or below process technologies
- Experience with low power implementation, power gating, multiple voltage rails, strong UPF/CPF knowledge.
- Experience working with most EDA tools like DC/Genus, ICC2/Innovus, Primetime, Redhawk/Voltus, Calibre.
- Experience in running physical-aware logic synthesis and achieving optimal synthesis QoR on low power designs
- Knowledge of static timing analysis and concepts, defining timing constraints and exceptions, corners/voltage definitions.
- Experience in Block-level and Full-chip floor-planning, power grid planning
- Experience with custom or regular clock tree synthesis implementation at block level or top level, and clock power reduction techniques.
- Experience with Python, TCL, Perl programming.
Checkout our excellent assessment tool: http://www.skillexam.com/
Checkout our job board : http://www.job-360.net/
=====================================================
Central Business Solutions, Inc
37600 Central Court Suite 214 Newark CA, 94560
Phone: (833)247-8800 Fax: (510)-740-3677
Web: http://www.cbsinfosys.com
=====================================================
-
Seniority level
Mid-Senior level -
Employment type
Contract -
Job function
Engineering and Information Technology -
Industries
IT Services and IT Consulting
Referrals increase your chances of interviewing at Central Business Solutions Inc. by 2x
See who you knowGet notified about new Physical Design Engineer jobs in San Jose, CA.
Sign in to create job alertSimilar jobs
People also viewed
-
Electrical Design Engineer
Electrical Design Engineer
-
Electrical Engineer
Electrical Engineer
-
Electrical Engineer
Electrical Engineer
-
Electrical Engineer - Experienced and Entry Level Positions
Electrical Engineer - Experienced and Entry Level Positions
-
Electrical Engineer
Electrical Engineer
-
Electrical Controls Engineer
Electrical Controls Engineer
-
Electrical Engineer
Electrical Engineer
-
Transmission and Distribution Electrical Engineer
Transmission and Distribution Electrical Engineer
-
Electrical Engineer
Electrical Engineer
-
Entry to Junior Level Electrical Engineer
Entry to Junior Level Electrical Engineer
Looking for a job?
Visit the Career Advice Hub to see tips on interviewing and resume writing.
View Career Advice Hub